AI摘要:这篇文章是为了帮助学生备忘数字电路课程作业所需的基础Verilog HDL入门知识,该课程由中国科学院大学网络空间安全学院宋威副研究员开设。文章首先介绍了在Windows环境下使用宋威老师提供的iverilog+vvp+gtkwave环境的步骤:安装Git-Bash、下载配置好的demo、导入环境变量等。然后,文章提供了编译、仿真和查看波形的命令示例,并指向了课堂文档以获取更多内容。通过这些步骤和示例命令,读者可以方便地搭建和使用Verilog HDL环境来完成课程作业。

说明

  本文针对于中国科学院大学网络空间安全学院(中国科学院信息工程研究所)宋威副研究员(信工所主页个人主页)开设的《数字电路》课程,对作业所需的基础Verilog HDL入门知识做简要备忘。

环境搭建与使用

  Windows下使用宋威老师提供的iverilog+vvp+gtkwave环境:

  1. 安装Git-Bash
  2. 下载配置好的demo:
    git clone https://github.com/wsong83/verilog-demo
    git clone https://bitbucket.org/wsong83/verilog-demo
  3. 进入verilog-demo目录导入环境变量:
    source set_env.sh
  4. 编译所需命令:
    iverilog -o [输出文件名] -s [测试模块顶层名] [模块路径] [测试模块路径]
    例如:
    iverilog –o adder_test –s test src/adder.v test/adder_test.v
  5. 仿真所需命令:
    vvp [输出文件名]
  6. 查看波形所需命令:
    gtkwave test.vcd &
  7. 更多内容可参考课堂文档